The UCIe Chiplet Interconnect Standard

The Universal Chiplet Interconnect Express (UCIe) is a standard developed to enable seamless interconnection between chiplets – small, modular SoC (system on chip) building blocks – even when developed by different vendors, implemented across different process nodes and manufactured in different foundries.

The semiconductor industry’s migration from monolithic SoCs to the adoption of chiplet-based architectures for the creation of advanced ICs is enabling higher yields as well as the ability to develop ICs more cost effectively, using multiple processes on a chip. The adoption of the chiplet model also allows for functional blocks to be used from multiple different vendors, reusing existing semiconductor IPs to reduce SoC development time significantly.

On-chip communication between these blocks is managed through a die-to-die interconnection protocol. The open UCIe standard, which is championed by a wide section of the industry, has emerged as a leading protocol of choice.

The UCIe Consortium was launched in March 2022 and has been co-developed by AMD, Arm, ASE Group, Google Cloud, Intel, Meta, Microsoft, Qualcomm, Samsung, and TSMC.

The specification covers the electrical, physical and protocol layers in order to facilitate high-speed, low-latency communication across the chiplets on the SoC. Since its launch of the first specification, the UCIe Consortium has announced two advances on the standard, launching version 1.1 in August 2023 and launching version 2.0 in August 2024.

The Shift to a Chiplet Ecosystem

The migration from monolithic SoCs to chiplet-based architectures is driven by the increasing complexity and scaling limitations of traditional semiconductor manufacturing. As chip designs become more advanced, fabricating large, monolithic SoCs becomes costly, challenging, and less efficient.

Data from Meta suggests that connectivity is among the most significant factors limiting AI acceleration, with 38% of the time data resides in a data center wasted, sitting in networks. Increased interconnectivity is vital, but monolithic dies have already hit the reticle limit (858 mm²) and cannot scale larger, this removes the ability to add additional I/O to the shoreline. By partitioning the SoC into smaller, modular chiplets, designers can mix and match specialized components, they can improve yield and reduce costs on larger SoCs, and increase breakout routing to have more space for connectivity.

Chiplets offer a flexible, scalable approach where different components—such as CPU cores, GPU accelerators, AI engines, memory controllers, and I/O interfaces—are fabricated separately and interconnected via high-speed die-to-die links like UCIe. This architecture is especially used in high-performance computing (HPC), AI accelerators, and data center processors. For example, AMD’s EPYC has embraced chiplet designs, with die sizes varying from 50 mm² to 100 mm² or more, depending on the function; while Intel’s CPU tiles used in its Sapphire Rapids data center processors is approximately 400 mm2.

Once combined, these can extend well beyond 1000 mm2, while preserving the monolithic qualities of the design. For example Intel combines four CPU tiles in its Sapphire Rapids processors to create a total area of 1600 mm2.

Chiplet-based architectures allow manufacturers to optimize performance while using different process nodes for specific tasks, enhancing flexibility and enabling more powerful systems without the drawbacks of scaling large monolithic dies. However, the success of these architectures relies heavily on robust die-to-die interconnect standards, which ensure seamless communication between the chiplets and maintain overall system performance.

Die-to-Die Interconnection Standards

Die-to-die interconnect standards provide the framework necessary for efficient communication between chiplets, which are increasingly used in modern chip designs. Without standardized interconnects, it would be difficult to ensure compatibility and performance across different chiplets, especially when sourced from multiple vendors. These standards help improve scalability, cost-efficiency, and innovation in chiplet-based systems.

Standard GT/s per lane Density Max. Delay Proprietary/open
Advanced Interface Bus 2 GT/s 504 Gbps/mm 5 ns Proprietary (Intel)
Bandwidth Engine 10 GT/s N/A 2.4 ns Proprietary (MoSys/Peraso)
Bunch of Wires 2-16 GT/s 1280 Gbps/mm 5 ns Open (OCP)
Lipincon 8-16 GT/s 536 Gbps/mm 14 ns Proprietary (TSMC)
Multi-die I/O 56 GT/s 1600 Gbps/mm N/A Proprietary (Intel)
XSR/USR 16-56 GT/s N/A N/A Proprietary (Rambus)
UCIe Up to 64 GT/s >20 Tbps/mm 4 ns Open

The UCIe Die-to-Die Interconnection Standard

UCIe defines the standardized interface between chiplets, allowing communication over a shared die-to-die interconnect. It specifies key aspects like the form factor / layout, physical layer, signalling, and protocol layers, ensuring data can be transferred at high bandwidth with minimal latency. The physical layer manages electrical signals and timing, while the protocol layer ensures proper data formatting and sequencing. UCIe uses existing packaging and fabrication techniques, making it easier to integrate with current manufacturing processes.

AMD, Arm, ASE, Google Cloud, Intel Corporation, Meta, Microsoft, Qualcomm, Samsung, and TSMC, sit on the UCIe Consortium board with Alibaba Group and Nvidia. The Consortium works to ensure that the UCIe standard evolves to meet the needs of the industry, facilitating collaboration between chiplet vendors, manufacturers, and system integrators. The Consortium also plays a key role in certification and compliance efforts.

How Does UCIe Ensure Interoperability Between Different Vendors?

UCIe compliance ensures interoperability by providing a clear, open standard that can be implemented across different chiplet designs and manufacturing processes. By defining the physical, protocol, and transaction layers in a modular way, UCIe enables chiplets from different vendors to communicate seamlessly. The UCIe Consortium, an industry group responsible for maintaining the standard, plays a key role in ensuring that different implementations are interoperable through certification and compliance testing.

How do Packaging Technologies Impact Die-to-Die Standards Like UCIe?

Packaging technologies, such as the 2.5D and 3D integrations offered by TSMC, Intel and Samsung, play a critical role in die-to-die interconnects. These technologies allow multiple chiplets to be assembled into a single package, improving performance and reducing power consumption compared to multi-chip modules or multi-chip systems. UCIe is designed to be compatible with these packaging methods, ensuring that chiplets can communicate efficiently regardless of the packaging technology.

As packaging technology evolves, UCIe is expected to continue adapting to support more complex interconnect configurations. Since the launch of the first UCIe specification, the UCIe Consortium has announced the v1.1 and v2.0 upgrades.

What Use Cases or Applications Benefit From UCIe?

UCIe is well-suited for applications requiring high performance and flexibility, such as AI accelerators, high-performance computing (HPC), and data centers. In AI, UCIe enables high-speed communication between specialized processing units, improving overall computational efficiency. In HPC, it allows for more scalable architectures by enabling chiplets to communicate with low latency and high bandwidth.

The UCIe v1.1 standard interconnect is roughly 10 times more energy efficient than PCIe Gen5, which is ubiquitous in data centers, with millions of interconnects linking CPUs and XPUs. By adopting a D2D interface for these in-system connections, extreme power and latency savings can be realized.

UCIe integrates power management strategies such as dynamic voltage scaling and power gating to optimize energy consumption while maintaining high performance. Such techniques allow chiplets implementing UCIe to adjust power usage based on workload demands. The UCIe standard also ensures the communication between chiplets remains power-efficient, using low-energy signaling protocols.

This is particularly relevant to co-packaged optics (CPO), where different nodes developed specifically for silicon photonics can now be stitched together with the most advanced logic nodes as used in an XPU or compute engine.

What is the Architecture of UCIe?

UCIe consists of three primary layers: the physical layer, adapter and protocol layer.

UCIe physical layer (PHY)

The physical layer (PHY) of UCIe is responsible for the electrical signaling and timing between chiplets. It defines how data is physically transmitted across die-to-die connections, ensuring signal integrity and robustness, especially as data rates increase. UCIe’s physical layer includes clocking, link training and sideband signals. The PHY is designed to be compatible with a wide range of packaging technologies, including organic substrate standard package, 2.5D and 3D integration.

Signal integrity is critical at the PHY layer, and UCIe incorporates techniques like error correction and equalization to minimize data transmission errors. The PHY also manages power efficiency, with features like dynamic voltage scaling to adjust power consumption based on workloads.

UCIe adapter layer

The adapter layer is the intermediary connecting the protocol and PHY layers. It. UCIe adapter layers provide link state management and functionality for parameter negotiations, which is key for chiplet interoperability. It also manages reliable UCIe link by implementing Cyclic Redundancy Checks (CRC) and link level retry.

To enable efficient communication between the UCIe adapter and protocol layers, UCIe uses a FLIT-aware interface (FDI), which allows seamless interoperability with various protocols. This interface supports multiple FLIT modes as specified in the UCIe standard. Additionally, UCIe defines raw modes designed for specific protocol and application needs, such as retimer use cases and optical links.

UCIe protocol layer

UCIe maps PCIe and CXL protocols natively via a flit-aware mode, which enables the adoption of in-package integration of existing software stacks in chiplet-based architectures. This layer also provides a streaming protocol bridge for mapping of other protocols via the streaming mode, enabling multiple classes of SoC interfaces with interoperable protocols such as AMBA AXI, CXS, CHI and now CHI C2C, which is critical enabler for the open and robust chiplet ecosystem.

UCIe’s protocol layer employs packet-based communication, with each packet containing information like the source, destination, and error detection codes to ensure data integrity. In case of transmission errors, the protocol layer supports error correction mechanisms such as cyclic redundancy checks (CRC) to identify and rectify faulty data packets.

Additionally, the protocol layer is responsible for flow control—ensuring that data is sent and received in a balanced manner to avoid congestion or data loss. In UCIe, the protocol layer is highly optimized for low-latency communication, which is critical in applications requiring high-speed data transfer.

How UCIe Enables the Evolution Toward 1.6T in Data Centers

For monolithic ICs, the industry reached the reticle die limit of 858 mm² over five years ago. Before this point, the industry was able to increase the die size to deliver increased bandwidth, with a larger die area allowing more pins.

It is no longer feasible to add ever more ports based on the monolithic die model as we cannot significantly increase the number of lanes around the chip. This is also true for the front panel due to the thermal density. The answer is to increase the bandwidth per lane and move to a more scalable architecture, based on chiplets.

As per the graph, the optimal die-to-die interconnect between chiplets, in terms of Gbps/mm and pJ/bit, is the UCIe parallel interface. And chiplet designs powered by UCIe breaking out to a 224G SerDes can enable the next generation of high radix use cases.

Testing and Validation Methodologies

Testing and validation of UCIe implementations are crucial to ensure reliability and performance, both pre-silicon and post-silicon. Methodologies include golden die behavior testing, signal integrity testing, protocol compliance tests, and system-level simulations to verify that chiplets can communicate correctly and efficiently. Specialized tools are used to test for electrical characteristics, error rates, and latency across the die-to-die interconnect. Compliance testing set forth in the UCIe Specification by the UCIe Consortium ensures that products meet the required specifications for interoperability. Alphawave Semi is actively demonstrating UCIe interoperability initiatives with ecosystem partners to help drive the open chiplet ecosystem in marketplace.

Security in Die-to-Die Interconnects?

Security in die-to-die interconnects is an emerging area of concern, especially as chiplet-based systems become more prevalent. Potential risks include unauthorized access to data during transmission between chiplets, physical tampering, and side-channel attacks.

UCIe addresses security concerns primarily through its robust communication protocols and error detection mechanisms, ensuring secure and reliable data transfers between chiplets. Given that chiplets may come from multiple vendors and operate in complex multi-chiplet systems, securing die-to-die communication is essential to prevent unauthorized access, data tampering, and corruption.

UCIe’s communication protocols include encryption and authentication mechanisms, ensuring that only authorized chiplets can exchange data. By encrypting the data packets transmitted over the interconnect, UCIe prevents eavesdropping and data interception attacks. Additionally, authentication protocols verify the identity of each chiplet, ensuring only trusted components are communicating, which is critical in multi-vendor systems.

Error detection mechanisms like cyclic redundancy checks and parity checks play a key role in maintaining data integrity. These mechanisms identify errors in data packets during transmission, allowing the system to correct or discard corrupted packets, reducing the risk of data tampering or injection attacks. By combining error detection with retransmission protocols, UCIe ensures data corruptions detected during transmission are addressed with the reliability and security of the interconnect maintained.

What is the Roadmap for UCIe and Future Die-to-Die Interconnects?

The roadmap for UCIe includes ongoing improvements in bandwidth, power efficiency, and compatibility with emerging packaging technologies. Future versions of the standard are expected to support higher data rates, improved power management, and greater scalability for complex systems.

As semiconductor manufacturing moves toward smaller process nodes and more advanced packaging (such as 3D stacking), UCIe is expected to evolve to meet the needs of next-generation chiplet-based systems. The UCI Consortium has stated its initial focus was to ensure interoperability, developing a PHY to support streaming of PCIe/CXL, and other protocols for near-term implementations. The Consortium’s future goals relate to additional protocols, advanced chiplet form factors and chiplet management.

UCIe v1.0

The UCIe Consortium announced version 1.0 of the UCIe standard on the 2nd of March 2022. The specification detailed the complete standardized die-to-die interconnect with physical layer, protocol stack, software model, and compliance testing to enable end users to mix and match chiplet components from a multi-vendor ecosystem.

UCIe v1.1

On the 8th of August 2023, the UCIe Consortium announced an upgrade to the standard, introducing version 1.1 of the specification. Fully backward compatible with the UCIe 1.0 Specification, this upgrade delivered improvements in the chiplet ecosystem, notably it extended reliability mechanisms to more protocols and supported broader usage models.

Additional enhancements were included for automotive usages, for example the addition of predictive failure analysis and health monitoring. The upgraded specification also enabled lower-cost packaging implementations and detailed architectural specification attributes to define system setups and registers for use in compliance testing.

UCIe v2.0

On the 6th of August 2024, the UCIe Consortium announced its second-generation specification. UCIe 2.0 added support for a standardized system architecture and sought to address design challenges for testability, manageability, and debug (DFx) for the SIP lifecycle across multiple chiplets.

To enable vendor-agnostic chiplet interoperability, the UCIe Consortium introduced optional manageability features and a UCIe DFx Architecture (UDA), which includes a management fabric within each chiplet for testing, telemetry, and debug functions.

Additionally, the 2.0 Specification was developed to specifically support 3D packaging technologies and offered higher bandwidth density and improved power efficiency versus 2D and 2.5D architectures.

Alphawave Semi has been actively contributing to the development of the UCIe 3.0 specification, which is in the works. The company is already establishing a leadership position through the launch of a 64G UCIe IP subsystem designed to meet the constantly increasing demands for next generation AI, HPC and data center applications.

Alphawave Semi’s Next Gen UCIe IP Subsystem Leading the Way for Chiplets

Alphawave Semi is among the leading implementers of the UCIe chiplet interconnect standard and has announced IP subsystems and chiplets solutions.

The company provides complete silicon-proven UCIe IP subsystems, including the UCIe D2D PHY and controller, and this is available across multiple process nodes for fabrication with multiple leading foundries. It offers a unique solution with extremely low power, high throughput, and low latency links enabling faster time to integration.

These include: